Blogs

Adding Cache to IPs and SoCs
Andy Nightingale
Arteris IP
Automotive-SPICE 4.0 What´s new?
Denis Liwoch
Siemens - Tessent Embedded Analytics
Creating SoC Designs Better and Faster With Integration Automation
Insaf Meliane
Arteris IP
GUC Leverages 3DIC Compiler to Enable 2.5D/3D Multi-Die Package
Synopsys Editorial Staff, WeiHsun Liao
Synopsys, Inc.
Our partners at event
Secure-IC at CES 2022
Search SoC Solutions
Foundry and Technology News

  Building Intel's Foundry Ecosystem for the AI Era - Jul. 01, 2024 ❖

Intel Foundry ecosystem partners add reference flows for Intel’s EMIB advanced packaging technology Today marks a new milestone in the growth of Intel Foundry’s design ecosystem as key...  > Read

  Faraday Joins Intel Foundry Accelerator Design Services Alliance to Target Advanced Applications - Jun. 27, 2024 ❖

-- Faraday Technology Corporation (TWSE: 3035), a leader in ASIC design services and IP solutions, announces joining the Intel Foundry Accelerator Design Services, marking a significant mile...  > Read

Business News

  Arteris Joins Russell 2000® Index - Jul. 01, 2024 ❖

Arteris, Inc. (Nasdaq: AIP), a leading provider of system IP which accelerates system-on-chip (SoC) creation, today announced that it has joined the broad-market Russell 2000® Index. ...  > Read

  Altair Signs Agreement to Acquire Metrics Design Automation Inc. Expands Footprint in EDA Industry - Jul. 01, 2024 ❖

Flagship product, DSim, is the first-of-its-kind EDA simulation as a service solution Altair , a global leader in computational intelligence, announced it has entered into a definitive agree...  > Read

  STMicroelectronics restructures for the AI age - Jun. 28, 2024 ❖

STMicroelectronics is fundamentally restructuring its business for the AI age, introducing predictive qualification and fully automated IP, library, test and packaging. ST aims to introdu...  > Read

SoC Design Platforms and Services

  Creating a Center of Excellence for IC Design - Jul. 01, 2024 ❖

By Kevin Koh, Faraday Vietnam EETimes (June 28, 2024) Amidst the recent, intense discussion of semiconductor supply chains, one key element is often overlooked: the need for alternative ...  > Read

  EDA Companies Unite With Samsung for AI and 3D IC Technology - Jun. 30, 2024 ❖

At DAC 2024, leading EDA companies partnered with Samsung to help realize its AI technology roadmap led by process nodes SF2Z and SF4U. It was a busy week for Samsung at the Design Automation...  > Read

RISC-V Ecosystem

  RISC-V Summit Europe News - Processor IP, Verification Tools, and More - Jun. 28, 2024 ❖

At every point in the design process, RISC-V developers can make use of the advancements presented at RISC-V Summit Europe. It's been a big week for open-source processors as the RISC-V S...  > Read

  FortifyIQ Introduces FortiPKA-RISC-V: A Breakthrough in Public Key Cryptography Acceleration - Jun. 26, 2024 ❖

Resilient to advanced cyber-attacks - SCA, FIA, DPA, SIFA; No pre/post-processing data; enhanced performance and area - FortifyIQ, a leading provider of advanced security semiconductor solu...  > Read

  ESWIN Computing Pairs SiFive CPU, Imagination GPU and In House NPU in Latest RISC-V Edge Computing SoC - Jun. 25, 2024 ❖

Combining IP from two RISC-V leaders with an independently developed NPU brings advanced AI acceleration and rich user interfaces to ESWIN Computing’s EIC77 Series SoCs. -- Today, B...  > Read

Automotive - Avionics

  Frontgrade Gaisler Awarded ESA Contract to Qualify Spacecraft Avionics Microcontroller for Flight - Jun. 27, 2024 ❖

-- The European Space Agency (ESA) has awarded Frontgrade Gaisler, a leading provider of radiation-hardened microprocessors for space applications, a contract under the ARTES Core Competitiv...  > Read

  Dovetail Electric Aviation adopts Siemens Xcelerator to pioneer sustainable aviation - Jun. 20, 2024 ❖

Siemens' NX X for cloud enabled computer-aided design (CAD) will help Dovetail develop the propulsion systems and engines by teams in Spain and Australia Siemens Digital Industries Software a...  > Read

Interconnect, D2D, C2C

  Cadence Expands System IP Portfolio with Network on Chip to Optimize Electronic System Connectivity - Jun. 26, 2024 ❖

Cadence Janus NoC enables design teams to achieve better PPA faster and with lower risk, freeing up valuable engineering resources for SoC differentiation -- Cadence Design Systems, Inc. (N...  > Read

  Siemens delivers a major leap toward mainstream 3D-IC adoption with new Calibre 3DThermal - Jun 25, 2024 ❖

- Siemens Digital Industries Software today introduced Calibre® 3DThermal, innovative software for thermal analysis, verification and debugging in 3D integrated circuits (3D-ICs). Calibre...  > Read

Artificial Intelligence

  Tenstorrent To Offer AI Workstation For Developers - Jun. 26, 2024 ❖

By Sally Ward-Foxton, EETimes (June 25, 2024) SANTA CLARA, Calif. — Tenstorrent’s first generation AI chips will soon come in a workstation format for AI developers, the comp...  > Read

  Semidynamics releases Tensor Unit efficiency data for its new All-In-One AI IP - Jun 25, 2024 ❖

Barcelona, Spain – June 25, 2024 -- Semidynamics, the European RISC-V custom core AI specialist, has announced Tensor Unit efficiency data for its ‘All-In-One’ AI IP runni...  > Read

Security Solutions

  Lattice Introduces New Secure Control FPGA Family with Advanced Crypto-Agility and Hardware Root of Trust - Jun. 26, 2024 ❖

New Nexus-based MachXO5D-NX FPGAs and Sentry solution stack optimized for evolving security landscape with industry-standard compliant, crypto-agile, and class-leading RoT features Latti...  > Read

  Crypto Quantique, ZARIOT and Kigen unveil quantum-safe hardware root-of-trust for cellular IoT - Jun. 24, 2024 ❖

Crypto Quantique, a leading provider of quantum-driven security for IoT and ZARIOT , an award-winning cellular IoT connectivity provider, have partnered with leading eSIM vendor, Kigen . T...  > Read

5G - Network - Wireless

  Comcores Unveils Industry-First MAC Privacy Protection IP for Enhanced Ethernet Security - Jun. 25, 2024 ❖

Comcores, a leading supplier of Ethernet-based IP solutions as well as an innovator in 5G wireless and Time-Sensitive Networking (TSN) solutions, announces the availability of its cutting-ed...  > Read

  SignatureIP Makes Network-on-Chip (NoC) Design Widely Accessible with Cloud-Based iNoCulator™ Platform - Jun 24, 2024 ❖

First cloud-based NoC design tool now available at iNoCulator.ai -- SignatureIP, the pioneer of next generation interconnect and interface solutions, announces early access availability of it...  > Read

Embedded Processing

  Semiwise, sureCore, and Cadence Showcase Breakthrough in Cryogenic CMOS Circuit Development for Quantum Computing and Ener... - Jun. 24, 2024 ❖

In a leap towards revolutionizing quantum computing and enhancing the energy efficiency of data centers, Semiwise, sureCore, and Cadence have collaborated to overcome critical challenges in ...  > Read

eFPGA

  Primemas Selects Achronix Embedded FPGA Technology For System-on-Chip (SoC) Hub Chiplet Platform - Jun. 20, 2024 ❖

Collaboration enables Primemas to integrate the Achronix Speedcore eFPGA IP into its SoC Hublet product line, providing a scalable, feature-rich chiplet platform -- Achronix, a leader in h...  > Read

I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.