Our partners at event
Secure-IC at CES 2022
Search SoC Solutions
Foundry and Technology News

  eMemory's Security-Enhanced OTP Qualifies on TSMC N5A Process Specializing in High-Performance Automotive Chips - Jul. 03, 2024 ❖

eMemory’s security-enhanced version of NeoFuse OTP has qualified for the TSMC N5A platform - an enhanced process for automotive applications. With the rising demands for autonomous dr...  > Read

  Building Intel's Foundry Ecosystem for the AI Era - Jul. 01, 2024 ❖

Intel Foundry ecosystem partners add reference flows for Intel’s EMIB advanced packaging technology Today marks a new milestone in the growth of Intel Foundry’s design ecosystem as key...  > Read

Interconnect, D2D, C2C

  YorChip predicts 2026 will be the year of the chiplet - Jul. 03, 2024 ❖

Nearly a year after announcing its strategic partnership with FPGA developer QuickLogic to produce FPGA chiplets, YorChip elaborated on its plans to apply chiplets to more general-purpose app...  > Read

  Cadence Expands System IP Portfolio with Network on Chip to Optimize Electronic System Connectivity - Jun. 26, 2024 ❖

Cadence Janus NoC enables design teams to achieve better PPA faster and with lower risk, freeing up valuable engineering resources for SoC differentiation -- Cadence Design Systems, Inc. (N...  > Read

Business News

  Google Shifts Foundry Partnership from Samsung to TSMC for Tensor G5 Chip - Jul. 03, 2024 ❖

Samsung Electronics' recent win of Google's Tensor G4 order last year had raised hopes of narrowing the gap with TSMC in the foundry sector. However, recent developments suggest a shift in Go...  > Read

  Renesas and Altium Announce the Conclusion of the Regulatory Review for Renesas' Proposed Acquisition of Altium - Jul. 02, 2024 ❖

TOKYO, Japan, July 2, 2024 (JST) | San Diego, California, U.S.A., July 1, 2024 (PDT)― Renesas Electronics Corporation ("Renesas", TSE: 6723), a premier supplier of advanced se...  > Read

  GlobalFoundries acquires Tagore Technology's GaN technology - Jul. 02, 2024 ❖

GlobalFoundries has acquired Tagore Technology's proprietary and production proven Power Gallium Nitride (GaN) IP portfolio. This is a high-power density solution that's been desig...  > Read

Automotive - Avionics

  How AI impacts the qualification of safety-critical automotive software - Jul. 03, 2024 ❖

As the automotive industry continues its push toward software-defined vehicles, development teams must understand how artificial intelligence (AI) and machine learning (ML) affect them. The...  > Read

  Frontgrade Gaisler Awarded ESA Contract to Qualify Spacecraft Avionics Microcontroller for Flight - Jun. 27, 2024 ❖

-- The European Space Agency (ESA) has awarded Frontgrade Gaisler, a leading provider of radiation-hardened microprocessors for space applications, a contract under the ARTES Core Competitiv...  > Read

Artificial Intelligence

  Flex Logix Boosts AI Accelerator Performance and Long-Term Efficiency - Jul. 02, 2024 ❖

Embedded FPGA (eFPGA) can reduced memory bandwidth requirements by more than 10x and allows efficient execution of future operators and activation functions – Flex Logix® T...  > Read

  Tenstorrent To Offer AI Workstation For Developers - Jun. 26, 2024 ❖

By Sally Ward-Foxton, EETimes (June 25, 2024) SANTA CLARA, Calif. — Tenstorrent’s first generation AI chips will soon come in a workstation format for AI developers, the comp...  > Read

SoC Design Platforms and Services

  C-DAC partners with MosChip and Socionext for design of HPC Processor AUM based on Arm architecture - Jul. 02, 2024 ❖

– C-DAC Partners with MosChip® Technologies, and Socionext Inc. for the design and development of a High-Performance-Computing (HPC) Processor SoC based on the Arm® architec...  > Read

  Creating a Center of Excellence for IC Design - Jul. 01, 2024 ❖

By Kevin Koh, Faraday Vietnam EETimes (June 28, 2024) Amidst the recent, intense discussion of semiconductor supply chains, one key element is often overlooked: the need for alternative ...  > Read

Security Solutions

  Introducing Next-Generation Security IPs: Unmatched Protection with EEC, AES, SHA-2, CRP1A, and ECDSA - Jul. 02, 2024 ❖

July 2, 2024. – T2M IP , the global independent semiconductor IP Cores provider & Technology experts, is delighted to announce the instant availability of its partner’s Pro...  > Read

  Tiempo Secure and Menta announce strategic partnership to enhance security solutions - Jul. 02, 2024 ❖

Tiempo Secure and Menta partner to provide a uniquely flexible, certified, embedded Secure Enclave – eFPGA IP solution. -- Tiempo Secure, a leading provider of high-end secure IP sem...  > Read

RISC-V Ecosystem

  RISC-V Summit Europe News - Processor IP, Verification Tools, and More - Jun. 28, 2024 ❖

At every point in the design process, RISC-V developers can make use of the advancements presented at RISC-V Summit Europe. It's been a big week for open-source processors as the RISC-V S...  > Read

  FortifyIQ Introduces FortiPKA-RISC-V: A Breakthrough in Public Key Cryptography Acceleration - Jun. 26, 2024 ❖

Resilient to advanced cyber-attacks - SCA, FIA, DPA, SIFA; No pre/post-processing data; enhanced performance and area - FortifyIQ, a leading provider of advanced security semiconductor solu...  > Read

  ESWIN Computing Pairs SiFive CPU, Imagination GPU and In House NPU in Latest RISC-V Edge Computing SoC - Jun. 25, 2024 ❖

Combining IP from two RISC-V leaders with an independently developed NPU brings advanced AI acceleration and rich user interfaces to ESWIN Computing’s EIC77 Series SoCs. -- Today, B...  > Read

5G - Network - Wireless

  Comcores Unveils Industry-First MAC Privacy Protection IP for Enhanced Ethernet Security - Jun. 25, 2024 ❖

Comcores, a leading supplier of Ethernet-based IP solutions as well as an innovator in 5G wireless and Time-Sensitive Networking (TSN) solutions, announces the availability of its cutting-ed...  > Read

  SignatureIP Makes Network-on-Chip (NoC) Design Widely Accessible with Cloud-Based iNoCulator™ Platform - Jun 24, 2024 ❖

First cloud-based NoC design tool now available at iNoCulator.ai -- SignatureIP, the pioneer of next generation interconnect and interface solutions, announces early access availability of it...  > Read

Embedded Processing

  Semiwise, sureCore, and Cadence Showcase Breakthrough in Cryogenic CMOS Circuit Development for Quantum Computing and Ener... - Jun. 24, 2024 ❖

In a leap towards revolutionizing quantum computing and enhancing the energy efficiency of data centers, Semiwise, sureCore, and Cadence have collaborated to overcome critical challenges in ...  > Read

eFPGA

  Primemas Selects Achronix Embedded FPGA Technology For System-on-Chip (SoC) Hub Chiplet Platform - Jun. 20, 2024 ❖

Collaboration enables Primemas to integrate the Achronix Speedcore eFPGA IP into its SoC Hublet product line, providing a scalable, feature-rich chiplet platform -- Achronix, a leader in h...  > Read

I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.