www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Why Chiplets Are the Next Big Innovation in Silicon

The semiconductor industry sits at an inflection point (and not a particularly welcome one): In terms of cost, physics, computing and complexity, it is increasingly difficult to keep innovating using traditional silicon design methods.

www.wsj.com/news/business, Oct. 10, 2024 – 

Silicon tape outs today are extraordinarily expensive, and that's before we consider the challenges of moving beyond the 2nm process. For context, the industry is currently at the 3nm process, where the features on a chip are about the size of a single strand of DNA.

As we push towards even smaller scales, like 2nm, the complexity and cost of manufacturing continue to skyrocket, making each step forward a significant and costly endeavor. On top of these challenges, there are continuous demands for more compute power, which is accelerating to unprecedented levels in the age of AI. This is leading to increasingly complex chip designs.

Put simply, business as usual is not sustainable. The semiconductor industry needs to think beyond traditional silicon design approaches and explore new, creative ways to embrace the challenges and drive continuous technology innovation. One such approach is chiplets.

Less Manufacturing Costs

Chiplets, which can be combined and packaged together to create larger, more complex and powerful silicon systems, create less waste during the manufacturing process compared to the current large monolithic silicon chips. Just one defect can render entire monolithic chips unusable. However, if defects are spotted in smaller chiplets, there will be far less impact, since one faulty chiplet won't affect the others.

Alongside higher yield, chiplets are simply cheaper to produce and easier to manufacture than monolithic chips. This reduces overall manufacturing costs, particularly for complex silicon designs that require advanced process nodes.

Scalable Performance in the Age of AI

On performance, chiplets encourage a heterogeneous computing approach, which is beneficial to AI. Different chiplets can utilize a variety of computing components like the CPU, GPU and NPU for different purposes and AI-based workloads, with these all integrated into a single package for chiplets.

Moreover, any performance that is enabled by chiplets is scalable and cost effective. Instead of designing a new chip, silicon manufacturers can add more chiplets to increase computational power and performance, or even upgrade existing chiplets. This also helps to bring new products to market faster.

Flexible Innovation

This flexibility allows the industry to create a range of interesting new designs and innovations, including die-to-die interfaces and new 2.5D and 3D packaging silicon solutions, that can be targeted for different markets or specific functions.

click here to read more...

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.