Our partners at event
Secure-IC at CES 2022
Search SoC Solutions
Business News

  Thalia adds analog and mixed-signal IP reuse to Siemens' Cre8Ventures Digital Twin Marketplace - Oct 17, 2024 ❖

-- Thalia and Siemens are collaborating to incorporate the AMALIA IP reuse design automation platform for analog and mixed-signal electronics into Siemens’ digital twin ecosystem. Cha...  > Read

  Intel and AMD form x86 Ecosystem Advisory Group - Oct. 16, 2024 ❖

Intel and AMD have announced the creation of an x86 ecosystem advisory group that will bring together technology leaders to shape the future of the x86 computing architecture. The group wil...  > Read

  Weebit Nano expands global sales infrastructure to support increased demand - Oct. 15, 2024 ❖

Company sees growing interest in its ReRAM technology for a new generation of semiconductor devices – Weebit Nano Limited (ASX:WBT) (Weebit), a leading developer and licensor of...  > Read

Automotive - Avionics

  EnSilica - Design and Supply contract award for a controller ASIC for automotive and industrial markets - Oct 17, 2024 ❖

Design and Supply contract award for a controller ASIC for automotive and industrial markets -- EnSilica, a leading chip maker of mixed signal ASICs (Application Specific Integrated Circuits)...  > Read

  Arm, ASE, BMW Group, Bosch, Cadence, Siemens, SiliconAuto, Synopsys, Tenstorrent and Valeo commit to join imec's Automotiv... - Oct. 10, 2024 ❖

Imec invites the global automotive ecosystem to join its effort to mutually explore the opportunities presented by chiplet technology Today, at an exclusive gathering in Ann Arbor (MI), bri...  > Read

Artificial Intelligence

  Rebellions partners with Arm on AI computing chiplet Techs - Oct. 16, 2024 ❖

The AI chip startup will collaborate with Arm, Samsung Electronics, and ADTechnology to develop an AI CPU chiplet platform Rebellions, South Korea's artificial intelligence (AI) chip des...  > Read

  Efabless Goes the Custom Route for Edge ML - Oct. 16, 2024 ❖

Last week, Efabless announced the launch of chipIgnite ML, its new system-on-chip (SoC) platform enabling the creation of custom silicon solutions more easily than traditional off-the-shelf h...  > Read

SoC Design Platforms and Services

  Successful tape out of Chip Interfaces' JESD204D IP by a tier 1 semiconductor company - Oct. 16, 2024 ❖

– Chip Interfaces is pleased to announce that the Industry’s first commercially available JESD204D IP core has been taped out with a Tier 1 semiconductor company. This milestone...  > Read

  Agile Analog announces MoU to support new Southern Taiwan IC Design Industry - Oct. 15, 2024 ❖

Promotion Hub Promoting collaboration and novel IC design technologies October 15, 2024. Cambridge, UK --Agile Analog, the customizable analog IP company, has announced a Memorandum of Und...  > Read

Interconnect, D2D, C2C

  ADTechnology Partners with Arm, Samsung Foundry, and Rebellions on AI CPU Chiplet Platform - Oct. 16, 2024 ❖

-- ADTechnology has announced a collaboration with Samsung Foundry, Arm, and Rebellions to develop a next-generation AI CPU chiplet platform based on chiplet technology. This collaboration co...  > Read

  Why Chiplets Are the Next Big Innovation in Silicon - Oct. 10, 2024 ❖

The semiconductor industry sits at an inflection point (and not a particularly welcome one): In terms of cost, physics, computing and complexity, it is increasingly difficult to keep innovati...  > Read

RISC-V Ecosystem

  Codasip unveils versatile automotive-grade embedded RISC-V core - Oct. 15, 2024 ❖

Codasip unveils versatile automotive-grade embedded RISC-V core Codasip L730 offers a wide range of capabilities through its high configurability, optional safety mechanisms and advanced sec...  > Read

  LDRA Announces Extended Support for RISC-V High Assurance Software Quality Tool Suite to Accelerate On-Target Testing of C... - Oct. 09, 2024 ❖

Designed in partnership with other industry leaders, LDRA’s development and verification tool chain supports RISC-V developers from requirements through deployment and test –...  > Read

  Intel Architects Start RISC-V Business with AheadComputing - Oct. 09, 2024 ❖

Intel's former Advanced Architecture Development Group (AADG) engineers have recently established AheadComputing Inc., a startup focused on developing RISC-V core IP. With a wealth of experie...  > Read

Security Solutions

  CAST Partners with KiviCore for Post-Quantum Cryptography - Oct. 15, 2024 ❖

Upcoming IP cores for hardware crypto engines will help developers defend systems against attacks from future quantum computers. — Semiconductor intellectual property provider CAST a...  > Read

  Crypto Quantique teams up with Attopsemi to simplify the implementation of PUF technology in MCUs and SoCs - Oct 10, 2024 ❖

LONDON, UK -- October 10, 2024 -- Crypto Quantique, a provider of quantum-driven security for the Internet of Things (IoT), has announced a collaboration with Attopsemi Technology, a leading ...  > Read

Design IP

  CEA-Leti Launches OpenTRNG, an Open-Source Project For True Random Number Generators Using Ring-Oscillator-Based Architect... - Oct. 15, 2024 ❖

Cybersecurity Framework Offers Companies and Academia Tools For Building and Integrating TRNGs into Products or for a Teaching Platform Grenoble, France – Oct. 15, 2024 – C...  > Read

  NVMe Updates Expand Discoverability, Security - Oct 07, 2024 ❖

By Gary Hilson, EETimes (October 7, 2024) The NVMe specifications continue to expand to reflect modern computing environments while maintaining backwards compatibility and security. NVM Ex...  > Read

  Xylon's Updated logiHSSL IP Core Seamlessly Connects Infineon AURIX Microcontrollers with AMD Adaptive SoCs and FPGAs - Oct. 07, 2024 ❖

-- Xylon has announced significant architectural improvements to its popular logiHSSL Slave HSSL Controller IP core, widely used in various field applications over the past several years. Th...  > Read

Foundry and Technology News

  TSMC "already planning" for more European fabs - Oct. 14, 2024 ❖

With AI chips in mind, TSMC is considering building more chip plants in Europe, Taiwan's National Science and Technology Council Minister Wu Cheng-wen told Bloomberg TV today. "They&...  > Read

  Credo Announces PCI Express 6 /7, Compute Express Link CXL 3.x Retimers, and AEC PCI Express Product Line at OCP Summit 2024 - Oct. 14, 2024 ❖

Credo Leverages SerDes Expertise to Deliver Energy Efficient PCIe 6 and 7 Retimers San Jose, Calif., October 14, 2024 – Credo Technology Group Holding Ltd (Credo) (NASDAQ: CRDO), an i...  > Read

5G - Network - Wireless

  Exclusive Interview: Antti Rauhala Discusses CoreHW's CHW3021 Radio Front-End IC - Oct. 14, 2024 ❖

In this interview, Antti Rauhala, Vice President of Engineering at CoreHW, sheds light on CHW3021, an innovative radio front-end integrated circuit (IC). He delves into its advanced features...  > Read

  Bluetooth® V6.0 Channel Sounding RF Transceiver IP Core in 22nm & 40nm for ultra-low power distance aware Bluetooth co... - Oct. 07, 2024 ❖

-- T2M announces the availability of its partner’s Bluetooth V6.0 RF Transceiver IP Core in 22nm ULL and 40nm ULP. This core supports the latest Bluetooth Channel Sounding feature, set...  > Read

Audio, Voice, Video

  DisplayPort Rx PHY and Controller IP Cores in multiple Leading Technology Nodes for Next-Generation Video SoCs - Oct. 14, 2024 ❖

Oct 14 th , 2024 -- T2M-IP, the global leader in semiconductor IP cores and technology expertise, is proud to announce the immediate availability of its partner's VESA-compliant DisplayPort...  > Read

Embedded Processing

  Deeptech Keysom completes a €4M fundraising and deploys the first "no-code" tool dedicated to the design of tailor-ma... - Oct 10, 2024 ❖

-- Keysom, a deeptech company developing an innovative and “no code” platform for designing tailor-made processor architectures for semiconductor and embedded systems players, an...  > Read

Internet of Things

  Silicon Labs and Kudelski IoT Partner to Accelerate Matter Device Certification - Oct. 09, 2024 ❖

New Custom Part Manufacturing Service (CPMS) and Device Attestation Certificates (DAC) Injection Streamline Security Implementation for Matter-Certified IoT Devices AUSTIN, Texas, Oct. 9, 2...  > Read

  Rambus to Announce Third Quarter Fiscal Year 2024 Results - Oct. 09, 2024 ❖

SAN JOSE, Calif.--(BUSINESS WIRE)-- Rambus Inc. (Nasdaq: RMBS), a premier chip and silicon IP provider making data faster and safer, today announced that it will hold a conference call on Mon...  > Read

I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.